CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL LCD

搜索资源列表

  1. dds9851

    0下载:
  2. 本文主要介绍的是采用直接数字频率合成的短波信号发生器,它主要以微电脑控制部分、直接数字频率合成(DDS)部分、数字锁相环频率合成部分、背光液晶显示部分、功率放大部分等组成。该软件系统采用菜单形式进行操作,操作方便明了,增加了很多功能。它通过启动DDS后,把内存缓存区的数据送到DDS后输出相应的频率,并把数据转换为BCD码,送到液晶显示器进行显示。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。-This paper describes the use of direct
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:467373
    • 提供者:xiang
  1. 9927416lcd1602

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2957
    • 提供者:zhang
  1. 1602LCD

    0下载:
  2. 1602lcd 显示程序,用Verilog语言编写,经测试程序运行没有问题!-1602lcd display program, with the Verilog language, tested program is running there is no problem!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:70361
    • 提供者:韩瑞
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. lcd_1602

    0下载:
  2. LCD1602显示 在LCD显示屏上显示特定的一个字符串-lcd1602 display
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2460
    • 提供者:赵云
  1. FRE

    0下载:
  2. 用1602显示的等精度频率计,有多种功能的;可能测试占空比和周期的-vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4766702
    • 提供者:dasfsaf
  1. xianshi_lcd_0

    0下载:
  2. 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:191245
    • 提供者:ad
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. FPGA中嵌入8051的核 并且实现控制128*64的液晶显示-FPGA embedded in 8051 and to achieve control of the nuclear 128* 64 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:440125
    • 提供者:万海
  1. lcd_drv

    0下载:
  2. IP core for LCD controller of Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2061
    • 提供者:phong duong
  1. lcd

    0下载:
  2. 使用FPGA控制LCD1602,采用VHDL语言描述-Using the FPGA control LCD1602, use of VHDL language to describe the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1595
    • 提供者:任云杰
  1. lab3

    0下载:
  2. VHDL code for using LCD in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:46240
    • 提供者:Sara
  1. vga-connector_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:229356
    • 提供者:Sara
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. ourdev_247126

    0下载:
  2. his design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor should be connected to the VGA port. The
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:161952
    • 提供者:路啄米
  1. Led_Lcd_vhdl

    0下载:
  2. Lcd led 2x16 2009 china2009
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:946939
    • 提供者:aliasder
  1. lcd_disp

    0下载:
  2. lcd字符显示,已经在Spartan3e板子上验证通过了,初学者可以下来-lcd character display, has been verified by Spartan3e on board, and beginners can take a look down
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:48373039
    • 提供者:王宇
  1. CM12864

    0下载:
  2. cm12864液晶显示器的vhdl驱动代码,基于状态机的转换,实现显示功能。-descripe by the VHDL to drive the LCD cm12864,based on the FSM convertor,achieve the display function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1296
    • 提供者:梁重
  1. LCD

    0下载:
  2. 用VHDL写的一个显示程序,希望能帮上大家的忙,嘿嘿。-Written in VHDL, a display procedure, hoping that would help everyone a favor on the Hei hei.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:464463
    • 提供者:shuwei
  1. UART

    0下载:
  2. A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:45537
    • 提供者:sandeep
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 23 »
搜珍网 www.dssz.com